`
JerryWang_SAP
  • 浏览: 964904 次
  • 性别: Icon_minigender_1
  • 来自: 成都
文章分类
社区版块
存档分类
最新评论

如何处理Eclipse错误消息 The declared package does not match the expected package

阅读更多

我从github下载了一个开源项目后,导入到自己Eclipse之后,遇到了这个烦人的错误消息:
The declared package "com.sap.smartService" does not match the expected package "main.java.com.sap.smartService"

 

 

这是我的项目文件目录:

 

 

解决方案:对项目点击右键,选择Properties->Build Path, 发现src文件夹被设为build path。

 

 

首先右键src文件夹,选择Remove from Build Path将其从build path中移除:

 

 

然后选择src/main下面的java文件夹,设置成build path,重启Eclipse:

 

 

错误即消失:

 

 

要获取更多Jerry的原创文章,请关注公众号"汪子熙":

 
0
0
分享到:
评论

相关推荐

    spring boot shiro demo项目

    用springboot shiro 做的一个小demo ,方便快速入门shiro 会比security容易上手很多;

    codeblocks中报错:'to_string' was not declared in this scope解决方案

    codeblocks中报错:'to_string' was not declared in this scope解决方案

    The.Go.Programming.Language.0134190440.epub

    The book does not assume prior knowledge of Go nor experience with any specific language, so you’ll find it accessible whether you’re most comfortable with JavaScript, Ruby, Python, Java, or C++. ...

    The Go Programming Language(Addison,2015)

    The book does not assume prior knowledge of Go nor experience with any specific language, so you'll find it accessible whether you're most comfortable with JavaScript, Ruby, Python, Java, or C++. ...

    a project model for the FreeBSD Project.7z

    The vision is “To produce the best UNIX-like operating system package possible, with due respect to the original software tools ideology as well as usability, performance and stability.” The ...

    thymeleaf-extras-eclipse-plugin-2.1-master.zip

    A plugin for the Eclipse IDE to add content assist features for the Thymeleaf standard dialect processors and expression utility objects, using the Eclipse Web Tools Platform HTML source editor. ...

    Maven3实战笔记04Maven的生命周期和插件

    Maven3实战笔记04——Maven的生命周期和插件。

    acpi控制笔记本风扇转速

    _HID does not match the predefined PCI Root Bridge IDs, the _CID list (if present) is now obtained and also checked for an ID match. Implemented additional support for the PCI _ADR execution: up...

    Senfore_DragDrop_v4.1

    * The Shell Extension components does not support C++ Builder 4. For some strange reason the components causes a link error. * There appear to be sporadic problems compiling with C++ Builder 5. ...

    JSP Simple Examples

    Suppose if we have declared an array of type int then the array will take only the int values and not any other data types. We can find find out the length of the variable by using the variable ...

    The evolution of physics by Einstein and Infeld 1938

    “Without the belief that it is possible to grasp reality with our theoretical constructions, without the belief in the inner harmony of our world, there could be no science,” the book declared....

    mysql 客户端软件 mymanager_lite

    The software contains all files of the installation package except for the "Dump" folder contents. This LICENSE AGREEMENT ("LICENSE") defines what the USER may do with the SOFTWARE, and contains ...

    DevExpress VCL 13.2.5 D7-DXE6 FullSource

    •Q584524 - Ribbon - QAT doesn't display the double arrow if the Ribbon control's PopupMenuItems set does not include items •Q581962 - Ribbon - Switching between maximized MDI child windows using the...

    Go Modules.docx

    What can I check if I am not seeing the expected version of a dependency? Why am I getting an error 'cannot find module providing package foo'? Why does 'go mod init' give the error 'cannot determine ...

    Google C++ Style Guide(Google C++编程规范)高清PDF

    It is important to know that functions are not always inlined even if they are declared as such; for example, virtual and recursive functions are not normally inlined. Usually recursive functions ...

    EHLib 6.3.171〖D7~XE5〗

    - Does not allow to leave the current record of DataSet with keyboard keys. - When the current cell in the grid is on the last line, the Tab key on the grid translates the input focus to the next ...

    Addison.Wesley.The.Java.Programming.Language.4th.Edition.Aug.2005.chm

    handling capabilities, and the use of assertions to validate the expected behavior of code. <br>Chapter 13Strings and Regular Expressionsdescribes the built-in language and runtime support for ...

    ORACLE DBMS STATS ERROR

    DBMS STATS Package Fails with Error 'ORA-04063: package body "SYS.DBMS_REGISTRY_SYS" has errors'

    LCTF软件备份VariSpec™ Liquid Crystal Tunable Filters

    In earlier revisions, the error status light was cleared after a VsSetWavelength() call failed, so the user did not see the light turn red to alert that an error had occurred. This has been fixed in ...

    keil常见错误.pdf

    keil常见错误 main.c(35): warning: #128-D: loop is not reachable from preceding code main.c(54): warning: #1-D: last line of file ends without a newline initSpi.c(6): error: #268: declaration may not ...

Global site tag (gtag.js) - Google Analytics